CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse frequency measurement module is wr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4210
    • 提供者:邵君武
  1. pwmled

    0下载:
  2. 一个霹雳灯的Verilog源程序,用PWM原理实现,产生了LED灯的渐弱效果-a thunderbolt lights Verilog source files, using PWM principle realized, LED lights have a gradual effect of the weak
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:510879
    • 提供者:张伟
  1. LED47DISP

    0下载:
  2. 4-7segment led display Verilog code. Implemented at Stratix EP1S25 DSP development board.-4-7segment led display Verilog code. Impl emented at Stratix EP1S25 DSP development boar d.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2060
    • 提供者:iamz
  1. vga_box

    1下载:
  2. 一个用verilog语言实现的包含:键盘扫描,led驱动、vga视频输出的例子。功能为用键盘控制一个方块的显示位置。需要有fpga板子支持。
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:2387
    • 提供者:Nee
  1. dynamic_display

    0下载:
  2. 4 digital LED dynamic display的Verilog HDL源代码,它能动态的显示4位数,为FPGA 的DEBUG 提供便利,非常经典,简单易懂,并且经过了Modelsim/ISE/FPGA(XC3S250ETQ144)验证和实现,好的行为模型就应该大家分享。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:257377
    • 提供者:name
  1. elev

    0下载:
  2. Verilog 电梯控制器设计 设计一个八层楼房自动电梯控制器,用八个 LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置,在每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮。 用 CLK脉冲控制电梯运动,每来一个 CLK脉冲电梯升(降)一层。电梯到达有请求的楼层后,该层次的指示灯灭,电梯门打开(开门指示灯亮),开门 5 秒后,电梯门自动关闭,电梯继续运行。 控制电路应能记忆所有楼层请求信号,并按如下运行规则依次相应:运行过程中先响应最早的请求,再
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:77109
    • 提供者:Fly
  1. my_led_ip

    0下载:
  2. 四通道axi LED灯控制器,用于嵌入式系统中的一些功能指示(The four channel Axi LED lamp controller is used for some function instructions in the embedded system)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:9216
    • 提供者:ICwxforever
  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:16449536
    • 提供者:意中人8383
  1. 4_led_test

    0下载:
  2. 此文件的功能是led驱动,主要的用途是驱动LED等点亮(The function of this file is led drive, the main purpose is to drive the LED and other lights)
  3. 所属分类:驱动编程

    • 发布日期:2018-05-01
    • 文件大小:5699584
    • 提供者:磨叽土豆
  1. at7_ex01

    0下载:
  2. 8个LED执行流水灯。流水灯依次循环点亮。基于vivado平台编写的Verilog代码(The 8 LED executes the flow light. The flow light is turned on and out in turn. Verilog code based on vivado platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:524288
    • 提供者:24fh
  1. at7_ex02

    0下载:
  2. 8个拨码开关分别控制8个LED的亮灭状态。基于vivado平台编写的Verilog代码(8 dial switches control 8 LED's bright and dead state respectively. Verilog code based on vivado platform)
  3. 所属分类:文章/文档

    • 发布日期:2018-05-01
    • 文件大小:430080
    • 提供者:24fh
  1. at7_ex03

    0下载:
  2. 使用FPGA内部的PLL产生时钟,计数器循环计数驱动LED闪烁。基于vivado平台编写的Verilog代码(Use FPGA's internal PLL to generate clock, counter cycle counting drive LED flicker. Verilog code based on vivado platform)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:738304
    • 提供者:24fh
  1. at7_ex04

    0下载:
  2. 通过LED闪烁控制器的代码,使用Vivado工具配置定义一个IP核,在用户工程中可随意添加这个IP核作为设计的一部分,如同Vivado自带的IP核一样方便调用和集成。(Through the code of the LED scintillation controller, the Vivado tool is configured to define a IP core, and the IP kernel can be added as part of the design at rando
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1833984
    • 提供者:24fh
  1. 02_key_test

    0下载:
  2. FPGA按键与LED的对应点亮,4个按键(The correspondence between the FPGA key and the LED)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:1024
    • 提供者:ddq01
  1. key_test

    0下载:
  2. fpga的按键程序,实现按键和led的对应点亮。(The key program of FPGA realizes the corresponding lighting between keys and led.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:1024
    • 提供者:ddq01
  1. task1-2

    0下载:
  2. 通过程序点亮LED灯,程序控制简单,还可以一次做成流水灯(LED lights can be lit by programs, and the program control is simple, and the water lamp can be made at a time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:2857984
    • 提供者:小丶谷
  1. Count_255

    0下载:
  2. 该代码用Verilog语言在Basys2板上实现了255位译码器,编码从SW0~SW7输入,LED灯分时显示译码内容。(The code implements the 255 bit decoder on the Basys2 board with Verilog language, encoding from SW0~SW7 input and LED lamp time to display decoding content.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:明日子
  1. lab1

    0下载:
  2. 使用verilog HDL语言在FPGA上面实现LED闪烁控制,入门的一个基本程序(LED Scintillation control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:4493312
    • 提供者:victorfan2017
  1. led

    0下载:
  2. 流水灯实验,实现四位流水灯功能 本次的设计主要是一个简单流水灯驱动程序,要求流水灯模式 如下:(1)复位时,灯全部熄灭。(2)复位按键放开时,首先点亮 第一个灯,然后第一个 灯熄灭,同时点亮第二个灯;接着,第二个 灯熄灭,同时点亮第三个灯;再然后,第三个灯熄灭,同时点亮第四 个灯;最后,第四个灯熄灭,同时点亮第一个灯;如此循环往复,实 现流水。(Running water lamp experiment to realize the function of four bit flow
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:2577408
    • 提供者:小猪仔521
  1. Exp4TZ

    0下载:
  2. 用于实现LED的呼吸灯,通过FPGA调试成功,用了PWM的原理(About the LED of PWM)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:3257344
    • 提供者:xlxw
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 18 »
搜珍网 www.dssz.com